在Linux上编程VHDL?

任何人都知道使用Linux编程VHDL和使用Linux模拟(不pipe赛灵思还是Altera)的良好环境?

你被困在Linux上的供应商工具(尽管我在Alteras工具方面的经验比用ISE要好一些)。

但是,如果你想要做的就是运行你的测试平台,而不是真正的综合任何东西,ghdl将被使用。

正如Arpan(几乎)所提到的,每个VHDL模拟器都被Linux支持,但是它们通常非常昂贵。 你最好的办法是使用下列之一:

  • Altera DS Web版本(刚刚添加了Linux支持)随ModelSim的免费版本提供。
  • Symphony EDA Sonata 3.1以有限支持的免费版本提供。
  • Xilinx自带仿真器的Xilinx ISE:ISim。

如果您更喜欢开源工具,请查看http://www.cliftonlabs.com/vhdl/savant.html – vhdl在当前的FOSS空间中没有太多的选项。 如果您选择了供应商工具,那么所有供应商都会使用他们的模拟器的Linux版本。 由于易于调试的功能,Modelsim是个人的最爱。

对于编码环境,我通常使用VIM,vhdl语法检查器打开。 Sigasi显然有一个商业的IDE作为自动代码完成,动态语法检查等花哨的东西。

如果您还有其他问题,请告诉我们。

Arpan

对于编码VHDL,Emacs与VHDL模式是必须的。 您可以使用高级版本功能,查看设计结构,管理体系结构和配置。 你可以设置多个模拟器。

我使用Altera Quartus Web版本中的modelsim。 要小心,如果你使用64位的Linux,这个版本的modelsim只有32位。

现在还有QUCS( http://qucs.sourceforge.net/ )。 它是开源的,基于FreeHDL。

它可以用来设计和模拟使用图形界面的电路。 它也可以模拟VHDL。 尽管如此,您还必须在图形区域设计电路元件。

该视频清晰显示: https : //www.youtube.com/watch?v = -RrQlzLsf18

我在Debian Jessie上使用linux ppa,它工作正常。

GHDL是VHDL的一个很好的模拟器,甚至可以与一些第三方库(例如Xilinx UNISIMS)一起工作。

如果将它与gtkwave结合使用,则应该有一个完整的VHDL模拟器和波形查看器。

GHDL还支持写入.GHW波形,这对于小型仿真来说是非常棒的,因为它通常包含了设计中的所有信号。

我已经将它们用于标准的RTL仿真,也用于Xilinx UNISIM的后综合仿真。

两者都应该在您的Linux发行版仓库中可用。 如果没有,您可以从以下位置获取它们:

GHDL: http ://ghdl.free.fr/

GtkWave: http ://gtkwave.sourceforge.net/